主办单位: 共青团中央   中国科协   教育部   中国社会科学院   全国学联  

承办单位: 贵州大学     

基本信息

项目名称:
程序行为感知的异构多核操作系统研究
小类:
信息技术
简介:
随着处理器性能和速度的不断提高,功耗和散热成为未来高性能系统设计的重要挑战,异构多核处理器的概念应运而生。市场上并无商用异构多核处理器,主要原因是目前并无支持异构的操作系统。本项目完全针对异构多核环境,修改Linux-2.6.21内核的负载均衡调度规则,使其能够根据程序的行为和异构核的频率做程序到核的定向分配,真正实现了资源智能、合理应用。该策略称为“马太负载均衡策略”。
详细介绍:
随着处理器性能、速度和并行度的提高,处理器功耗也随之增加。功耗的增加和热量不仅带来更高的热封装及散热成本,而且增加了出现故障的可能性,因此体系结构研究人员针对降低功耗进行了大量深入的研究。研究发现,程序的行为特性可分为cpu-intensive、memory-intensive、I/O-intensive。cpu-intensive 指程序具有很高指令级并行度和数据局部性,这类程序 CPU 利用率很高;而memory-intensive 和 I/O-intensive 却存在访存延迟和 I/O 延迟,使得 CPU 利用率不高,如果在快核上运行,性能提升不明显却浪费了功耗,如果在慢核上运行,性能不降或下降很少,却节省了功耗。 为此,研究人员认为在多核处理器中引入不对称性,分别迎合不同属性的应用程序,将是降低功耗、提高功效的有效方法,这种引入了不对称性的多核处理器,称之为异构多核处理器(Heterogeneous CMP)或非对称多核处理器(A-CMP,Asymmetric CMP)。 现有的操作系统都不支持异构多核处理器,而是简单地将处理器中所有的核看做相同的。为解决此问题,本项目在真实环境下通过DVFS降频技术搭建真实异构多核环境,在Linux-2.6.21内核中加入对每个程序的行为特征分析,并修改其负载均衡调度策略,使其能够根据程序的行为特性和异构核的频率做程序到核的定向分配,真正实现了资源智能、合理应用。。该策略称为“马太负载均衡策略”,得名于“马太福音”。实验证明,在异构环境下,采用“马太负载均衡策略”的Linux内核,其系统性能优于原有Linux操作系统。

作品专业信息

撰写目的和基本思路

该论文是研究操作系统在支撑下一代异构多核处理器中面临的问题和挑战。论文的基本思路为问题的提出、国内外研究现状、方案设计、实验与评估、最后是总结。

科学性、先进性及独特之处

论文所研究的内容是国际上计算机科学领域的前瞻性课题,Intel也在进行该课题研究,目的是为下一代异构多核处理器提供操作系统支撑。本文的独特之处在于将硬件性能计数与调度实现完美结合,并在真实异构环境和linux操作系统中得到了实现,且实现了预期的效果。

应用价值和现实意义

作品是为未来异构多核处理器服务的,是异构多核处理器能否商用的核心基础,属前瞻性课题。尽管现在还得不到应用,但对将来异构多核的推出、尤其是国产异构多核的推出奠定了基础。

学术论文摘要

不同的程序之间甚至同一个程序运行时的不同阶段常常表现出不同的行为特性,对于这种程序行为的多样性,理论与实验都已证明,使用异构多核处理器比使用同构多核处理器往往具有更好的性能功耗比,程序行为的差异越大,性能功耗比越明显。异构多核处理器的设计并不复杂,但所有的处理器厂商都没有推出商用的异构多核处理器,根本原因就在于目前操作系统不支持异构多核。异构和程序行为感知的多核操作系统是决定异构多核处理器能否走向商用的关键,国际上有几支课题组在进行此项研究,但基本上还处于探索阶段。本文通过 DVFS 实现了频率不对称的异构多核环境,在深入研读 Linux 2.6.21 内核与进程调度相关代码的基础上,针对异构多核和程序行为分析实现了新的负载均衡调度规则,包括在内核中使用硬件性能计数器动态分析程序行为,修改每个核的负载计算公式和负载均衡时任务迁移的规则。与原有研究工作相比,本文的优势在于软硬件环境完全真实,并与原有调度机制保持兼容,实现方法简介高效,几乎没有开销。试验结果显示,不同属性的程序基本按照预定的理想调度方案进行任务分配和负载均衡,且采用该负载均衡策略的操作系统的系统性能相比原有系统有所提升。

获奖情况

该作品(英文论文)已被2011 12th ACIS Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing(SNPD 2011) 会议录用。

鉴定结果

(无)

参考文献

[1] R. Kumar, D. M. Tullsen, N. P. Jouppi, and P. Ranganathan, “Heterogeneous chip multiprocessors,” Computer, vol. 38, no. 11, pp. 32–38, 2005. [2] A. Fedorova, J. C. Saez, D. Shelepov, and M. Prieto, “Maximizing power efficiency with asymmetric multicore systems,” Communications of the ACM, vol. 52, no. 12, pp. 48–57, 2009. [3] T. Li, D. Baumberger, D. A. Koufaty, and S. Hahn, “Efficient operating system scheduling for performance-asymmetric multi-core architectures,” in Proceedings of the 2007 ACM/IEEE Conference on Supercomputing, 2007. [4] D. Koufaty, D. Reddy, and S. Hahn, “Bias scheduling in heterogeneous multi-core architectures,” in Proceedings of the 5th European conference on Computer systems, pp. 125–138, 2010. [5] A. Fedorova, D. Vengerov, and D. Doucette, “Operating system scheduling on heterogeneous core systems,” in Proc. Workshop on Op. Sys. Support for Heterogeneous Multicore Architectures, 2007.

同类课题研究水平概述

异构多核处理器是学术界和企业界已经形成广泛共识的下一代多核处理器,Intel公司的前瞻研究实验室在几年前就开始了异构多核操作系统的研究,国产龙芯CPU的总设计师胡伟武研究员在2010年中国计算机大会上也明确表示,未来也将研发异构多核处理器。毕业于哈佛大学的Alexandra Fedorova教授是学术界在异构多核调度领域最活跃的年轻学者。 尽管早在2003年就明确提出了异构多核,但针对异构多核操作系统的实验性研究是最近两三年才真正开始的,取得了一些研究成果,但存在缺陷,主要包括:异构平台采用模拟器搭建,无法真实反映异构环境的情况;有些调度算法很难再内核中实现或开销过大;还有一些事用户层模拟调度器,算法过于理想,且得不到真实环境的验证。总之,目前关于这一课题的研究基本上还处于初期的探索阶段,要最终形成成熟的应用技术,还需要大量创新性和突破性的研究和细致的完善工作。
建议反馈 返回顶部